Isi kandungan:

LED Sensor Gerak: 8 Langkah
LED Sensor Gerak: 8 Langkah

Video: LED Sensor Gerak: 8 Langkah

Video: LED Sensor Gerak: 8 Langkah
Video: Review Lampu Led Sensor Gerak (Murah Berkualitas) 2024, Julai
Anonim
Image
Image

Latar belakang:

Adakah anda pernah lupa untuk mematikan lampu sebelum anda pergi ke kelas atau bekerja atau sebelum anda tidur? Waktu-waktu dengan lampu menyala ketika anda tidak menggunakannya dapat menambahkan kos dan kehilangan tenaga. Sebagai contoh, menurut solarcity.com, membiarkan lampu sepanjang malam selama seminggu dapat menambahkan $ 25 pada bil elektrik anda! Untuk projek CPE133 kami, kami merancang lampu sensor gerakan untuk membantu orang menjimatkan tenaga di rumah mereka dan menggunakan lampu mereka dengan cekap.

Sistem kami dalam praktik:

Dalam praktiknya, lampu hanya akan menyala apabila sensor mengesan pergerakan di dalam bilik. Kemudian lampu akan menyala untuk jangka masa yang ditetapkan, seperti sekitar 30 minit, dan kemudian mati secara automatik. Tetapi katakan anda baru sahaja melalui atau mahu meninggalkan bilik lebih awal sebelum waktu yang telah ditentukan berakhir. Untuk kes tersebut, kami memasang butang di mana anda boleh menyalakan atau mematikan lampu secara manual. Perhatikan bahawa lampu akan menyala selama 30 minit walaupun lampu dihidupkan secara manual atau automatik (kecuali lampu dimatikan secara manual).

Simulasi di atas kapal:

Untuk melihat bahawa pemasa berfungsi, kami menukar pemasa menjadi 1 minit.

Bahan:

  • 1 papan Basys (anda boleh mendapatkannya di sini dari Digilent)
  • 1 sensor gerakan PIR (anda boleh mendapatkannya di sini di Amazon)
  • 1 papan roti dan kit (kami cadangkan untuk menggunakan yang ini dari Amazon)
  • Dari kit di atas

    • 1 LED
    • 3 kabel pelompat wanita hingga lelaki
    • 6 kabel pelompat lelaki hingga lelaki

Langkah 1: Pemasa

Agar LED tetap menyala selama 1 minit, kita mesti membuat pemasa terlebih dahulu. Papan Basys 3 mempunyai frekuensi dalaman 100MHz sehingga menjadikan 100 juta kitaran sama dengan 1 saat. Ini kemudian digunakan sebagai pemboleh ubah yang akan bertindak sebagai bilangan maksimum untuk "t_cnt". T_cnt meningkat sebanyak 1 apabila papan Basys 3 menyelesaikan satu kitaran. Setelah mencapai 100 juta tanda ia akan diset semula dan satu lagi pemboleh ubah, “sec”, akan meningkat sebanyak 1. Pemboleh ubah “sec” ini mewakili jumlah detik yang berlalu dan setelah pemboleh ubah itu sama dengan 60, satu minit penuh telah berlalu.

Salin kod di bawah ke dalam fail sumber vhdl bernama Pemasa.

entiti COUNT_8B adalah

port (RESET: dalam std_logic;

CLK: dalam std_logic; T: keluar std_logic: = '0');

tamat COUNT_8B;

arsitektur my_count COUNT_8B ialah

jumlah maksimum_kata: bilangan bulat: = (100000000); --signal t_cnt: std_logic_vector (7 downto 0): = "00000000"; isyarat t_cnt: integer: = (0); memulakan proses (CLK, RESET, t_cnt) pemboleh ubah sec: integer: = 0; mulakan jika (meningkat_kebaikan (CLK)) maka jika (RESET = '1') maka t_cnt <= (0); - kosongkan elsif (t_cnt = max_count) maka - max_count adalah 100 juta yang sama dengan 1 saat t_cnt <= (0); - Tetapkan semula jam dalaman ke 0 saat: = saat + 1; - Menambah 'jam perlahan' kita dengan 1 jika (saat = 60) maka - Setelah mencapai 60 saat maka ia telah mencapai masa maksimum sec: = 0; - Tetapkan semula "jam perlahan" ke 0 T <= '1'; tamat sekiranya; lain t_cnt <= t_cnt + 1; - meningkatkan jam dalaman T <= '0'; tamat sekiranya; tamat sekiranya; proses akhir; tamat my_count;

Langkah 2: Pengoptimuman Butang

LED
LED

Oleh kerana frekuensi di papan Basys sangat tinggi (sekitar 100 MHz) apabila anda menekan untuk apa yang anda fikirkan adalah masa yang singkat untuk papan Basys anda akan menekannya 100, 000 kali. Ini menyebabkan cahaya berkelip antara keadaan hidup dan mati dengan cepat. Kami cuba mengoptimumkan butang dengan membuat gambarajah keadaan untuk mengurangkan kerlipan.

D-flip-flops akan menahan setiap keadaan dan kemudian kita akan menentukan peralihan keadaan dalam pernyataan proses.

Salin kod di bawah ke dalam fail sumber vhdl bernama Button.

perpustakaan IEEE; gunakan IEEE. STD_LOGIC_1164. ALL;

butang entiti adalah

Pelabuhan (btn: dalam STD_LOGIC; clk: dalam STD_LOGIC; E: keluar STD_LOGIC); butang akhir;

seni bina Tingkah laku butang adalah

type state_type adalah (PRESSED, NP); isyarat PS, NS: state_type: = NP;

bermula

seq_proc: proses (NS, clk) bermula jika (meningkat_kebaikan (clk)) maka PS <= NS; tamat sekiranya; proses akhir seq_proc;

ns_proc: proses (btn, PS)

mulakan kes PS ialah ketika NP => if (btn = '1') maka NS <= TEKAN; E <= '1'; lain NS <= NP; E jika (btn = '0') maka NS <= NP; E <= '0'; lain NS <= TEKANAN; E <= '0'; tamat sekiranya; kes akhir; proses akhir ns_proc;

Tingkah laku akhir;

Langkah 3: LED

LED mempunyai dua keadaan: OFF (atau IDLE) dan ON. Seperti yang dinyatakan sebelumnya, keadaan disimpan dalam d-flip-flop. Lampu akan menyala jika sensor mengesan gerakan (S = 1) atau ketika butang ditekan (E = 1). LED akan mati secara automatik jika pemasa mencapai 1 minit (T = 1) atau secara manual apabila butang ditekan (E = 1).

Salin kod di bawah ke dalam fail sumber vhdl bernama LED.

entiti motion_sensored_light adalah Port (S: in STD_LOGIC; - sesnor; Port JA10 / Pin G3 E: in STD_LOGIC; - butang luaran untuk fungsi manual; Butang Tengah T: dalam STD_LOGIC; - apabila pemasa mencapai masa maksimum; Dari pemasa LED: keluar STD_LOGIC; - cahaya TRST: keluar STD_LOGIC; - tetapkan semula pemasa clk: dalam STD_LOGIC); - clk untuk flip flop yang menahan keadaan akhir gerakan_sensored_light;

seni bina Tingkah laku gerakan_sensored_light adalah

type state_type adalah (ST0, ST1); --ST0 = IDLE, ST1 = LED TINGGI

isyarat PS, NS: state_type: = ST0; - NEGERI PRESENT DAN NEGERI SETERUSNYA, bermula di ST0 IDLE

bermula

- blok proses flip flop - keadaan kemas kini pada tepi jam yang meningkat seq_proc: proses (NS, clk) bermula - d flip flop yang menahan keadaan jika (meningkat_kebaikan (clk)) maka PS <= NS; tamat sekiranya; proses akhir seq_proc;

ns_proc: proses (S, E, T, PS)

mulakan kes PS adalah ketika ST0 => LED <= '0'; - output untuk keadaan terbiar TRST <= '1'; jika (S = '0' ATAU E = '1') maka - input untuk peralihan dari st0 ke st1 NS <= ST1; lain NS LED <= '1'; - output untuk keadaan TRST <= '0'; jika (E = '1' ATAU T = '1') maka - input ke peralihan dari st1 ke st0 NS <= ST0; lain NS <= ST1; tamat sekiranya; kes akhir; proses akhir ns_proc;

Tingkah laku akhir;

Langkah 4: Fail Teratas

Sekarang kita akan memetakan peta semua fail kita yang lain menjadi satu.

Salin kod di bawah ke dalam fail sumber vhdl bernama Top_File.

perpustakaan IEEE; gunakan IEEE. STD_LOGIC_1164. ALL;

entiti Top_File adalah

Port (S: dalam STD_LOGIC: = '1'; - sesnor; Port JA10 / Pin G3 btn: dalam STD_LOGIC: = '0'; - butang luaran untuk fungsi manual; LED Butang Tengah: keluar STD_LOGIC; - light clk: dalam STD_LOGIC); - clk untuk flip flop yang menahan keadaan akhir Top_File;

seni bina Kelakuan Top_File adalah

komponen COUNT_8B ialah

port (RESET: dalam std_logic: = '0'; CLK: dalam std_logic; T: out std_logic: = '0'); komponen akhir; komponen motion_sensored_light adalah Port (S: in STD_LOGIC; - sesnor; Port JA10 / Pin G3 E: in STD_LOGIC; - butang luaran untuk fungsi manual; Butang Tengah T: dalam STD_LOGIC; - apabila pemasa mencapai masa maksimum; Dari pemasa LED: keluar STD_LOGIC; - cahaya TRST: keluar STD_LOGIC; - tetapkan semula pemasa clk: dalam STD_LOGIC); - clk untuk flip flop yang memegang komponen akhir keadaan; butang komponen ialah Port (btn: in STD_LOGIC; clk: in STD_LOGIC; E: out STD_LOGIC); komponen akhir; isyarat t_reached_c: std_logic; - isyarat r_time_c: std_logic; - butang isyarat_c: std_logic;

bermula

pemasa: peta port COUNT_8B (RESET => r_time_c, CLK => CLK, T => t_reached_c); motion_sensor: peta port gerakan_sensored_light (S => S, E => button_c, T => t_reached_c, LED => LED, TRST => r_time_c, clk => clk); button_controller: peta port butang (btn => btn, clk => clk, E => butang_c); Tingkah laku akhir;

Langkah 5: Fail Kekangan

Sekarang kita harus menentukan di mana input dan output kita akan berada di papan tulis.

Salin kod di bawah ke dalam fail kekangan vhdl bernama Kekangan.

## Fail ini adalah.xdc umum untuk papan Basys3 rev B ## Untuk menggunakannya dalam projek: ## - melepaskan garis yang sesuai dengan pin terpakai ## - ganti nama port yang digunakan (di setiap baris, setelah get_ports) mengikut ke nama isyarat tahap atas dalam projek

## Isyarat jam

set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] ## Switches #set_property PACKAGE_PIN #} PACKAGE_PIN_17] set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] #set_property PACKAGE_PIN V16 [get_ports {sw [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [1]}] #set_property PACKAGE_PIN W16 [2_} {get_ports] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] #set_property PACKAGE_PIN W17 [get_ports {sw [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [3]}] #set_property PACKAGE_PIN W15 [get_ports {4_]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [4]}] #set_property PACKAGE_PIN V15 [get_ports {sw [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [5]}] #set_property PACKAGE_PIN W14

## LED

#set_property PACKAGE_PIN U16 [get_ports {led [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [0]}] #set_property PACKAGE_PIN E19 [get_ports {led [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports }] #set_property PACKAGE_PIN U19 [get_ports {led [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [2]}] #set_property PACKAGE_PIN V19 [get_ports {led [3]}] #set_property IOSTANDARD LVCMOS33 [get 3]}] #set_property PACKAGE_PIN W18 [get_ports {led [4]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [4]}] #set_property PACKAGE_PIN U15 [get_ports {led [5]}] #set_property IOSTANDARD LVCMOS33 led [5]}] #set_property PACKAGE_PIN U14 [get_ports {led [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [6]}] #set_property PACKAGE_PIN V14 [get_ports {led [7]}] #set_property IOSTANDARD LVCMOS get_ports {led [7]}] #set_property PACKAGE_PIN V13 [get_ports {led [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [8]}] #set_property PACKAGE_PIN V3 [get_ports {dipimpin [9]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [9]}] #set_property PACKAGE_PIN W3 [get_ports {led [10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [10]}] #set_property PACKAGE_PIN U3 [get_ports] [11]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [11]}] #set_property PACKAGE_PIN P3 [get_ports {led [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [12]}] #set_property PACKAGE_PIN N3 {led [13]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [13]}] #set_property PACKAGE_PIN P1 [get_ports {led [14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [14]}] #set_property PACKAGE_PIN [get_ports {led [15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [15]}] ## 7 segmen paparan #set_property PACKAGE_PIN W7 [get_ports {seg [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [0]}] #set_property PACKAGE_PIN W6 [get_ports {seg [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [1]}] #set_property PACKAGE_PIN U8 [get_ports {seg [2]}] #set_property IOSTA NDARD LVCMOS33 [get_ports {seg [2]}] #set_property PACKAGE_PIN V8 [get_ports {seg [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [3]}] #set_property PACKAGE_PIN U5 [get_ports {seg [4]} #set_property IOSTANDARD LVCMOS33 [get_ports {seg [4]}] #set_property PACKAGE_PIN V5 [get_ports {seg [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [5]}] #set_property PAKEJ_PIN U7 [6_ports] }] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [6]}]

#set_property PAKEJ_PIN V7 [get_ports dp]

#set_property IOSTANDARD LVCMOS33 [get_ports dp]

#set_property PAKEJ_PIN U2 [get_ports {an [0]}]

#set_property IOSTANDARD LVCMOS33 [get_ports {an [0]}] #set_property PACKAGE_PIN U4 [get_ports {an [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [1]}] #set_property PAKEJ_PIN V4 [get_ports }] #set_property IOSTANDARD LVCMOS33 [get_ports {an [2]}] #set_property PACKAGE_PIN W4 [get_ports {an [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [3]}]

## Butang

set_property PACKAGE_PIN U18 [get_ports btn] set_property IOSTANDARD LVCMOS33 [get_ports btn] #set_property PACKAGE_PIN T18 [get_ports btnU] #set_property IOSTANDARD LVCMOS33 [get_ports btnU] #set_property PACKAGE_PIN W19 [get_ports btnL] #set_property IOSTANDARD LVCMOS33 [get_ports btnL] #set_property PACKAGE_PIN T17 [get_ports btnR] #set_property IOSTANDARD LVCMOS33 [get_ports btnR] #set_property PAKEJ_PIN U17 [get_ports btnD] #set_property IOSTANDARD LVCMOS33 [get_ports btnD]

## Pengetua Pmod JA

## Sch name = JA1 #set_property PACKAGE_PIN J1 [get_ports {JA [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [0]}] ## Sch name = JA2 #set_property PAKEJ_PIN L2 [get_ports {JA [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [1]}] ## Sch name = JA3 #set_property PACKAGE_PIN J2 [get_ports {JA [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [2]}] ## nama Sch = JA4 #set_property PAKEJ_PIN G2 [get_ports {JA [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [3]}] ## Sch name = JA7 #set_property PAKEJ_PIN H1 [get_ports {JA [4]}] #set_prop LVCMOS33 [get_ports {JA [4]}] ## Sch name = JA8 set_property PACKAGE_PIN K2 [get_ports LED] set_property IOSTANDARD LVCMOS33 [get_ports LED] ## Sch name = JA9 #set_property PACKAGE_PIN H2 [get_ports {] JA [6] set_property IOSTANDARD LVCMOS33 [get_ports {JA [6]}] ## Sch name = JA10 set_property PACKAGE_PIN G3 [get_ports S] set_property IOSTANDARD LVCMOS33 [get_ports S]

## Pengetua Pmod JB

## Sch name = JB1 #set_property PAKEJ_PIN A14 [get_ports {JB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [0]}] ## Sch name = JB2 #set_property PAKEJ_PIN A16 [get_ports {JB [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [1]}] ## Sch name = JB3 #set_property PACKAGE_PIN B15 [get_ports {JB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [2]}] ## nama Sch = JB4 #set_property PAKEJ_PIN B16 [get_ports {JB [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [3]}] ## Sch name = JB7 #set_property PAKEJ_PIN A15 [get_ports {JB [4]}] #set_property LVCMOS33 [get_ports {JB [4]}] ## Sch name = JB8 #set_property PAKEJ_PIN A17 [get_ports {JB [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [5]}] ## Nama Sch = JB9 #set_property PACKAGE_PIN C15 [get_ports {JB [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [6]}] ## Sch name = JB10 #set_property PACKAGE_PIN C16 [get_ports {JB [7]}] #set_property IOSTANDARD LVCMOS JB [7]}]

## Pengetua Pmod JC

## Sch name = JC1 #set_property PACKAGE_PIN K17 [get_ports {JC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [0]}] ## Sch name = JC2 #set_property PAKEJ_PIN M18 [get_ports {JC [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [1]}] ## Sch name = JC3 #set_property PACKAGE_PIN N17 [get_ports {JC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [2]}] ## nama Sch = JC4 #set_property PACKAGE_PIN P18 [get_ports {JC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [3]}] ## Sch name = JC7 #set_property PAKEJ_PIN L17 [get_ports {JC [4]}] #set_property LVCMOS33 [get_ports {JC [4]}] ## Sch name = JC8 #set_property PAKEJ_PIN M19 [get_ports {JC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [5]}] ## Nama Sch = JC9 #set_property PACKAGE_PIN P17 [get_ports {JC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [6]}] ## Sch name = JC10 #set_property PACKAGE_PIN R18 [get_ports {JC [7]}] #set_property IOSTANDARD LVCMOS33 JC [7]}]

## Pengepala Pmod JXADC

## Sch name = XA1_P #set_property PACKAGE_PIN J3 [get_ports {JXADC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [0]}] ## Sch name = XA2_P #set_property PACKAGE_PIN L3 [get_ports {1] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [1]}] ## Sch name = XA3_P #set_property PACKAGE_PIN M2 [get_ports {JXADC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [2] = XA4_P #set_property PAKEJ_PIN N2 [get_ports {JXADC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [3]}] ## Sch name = XA1_N #set_property PACKAGE_PIN K3 [get_ports {JXADC] LVCMOS33 [get_ports {JXADC [4]}] ## Sch name = XA2_N #set_property PACKAGE_PIN M3 [get_ports {JXADC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [5]}] ## Nama Sch = XA3_N PACKAGE_PIN M1 [get_ports {JXADC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [6]}] ## Sch name = XA4_N #set_property PACKAGE_PIN N1 [get_ports {JXADC [7]}] #set_property IOST [get_ports {JXADC [7]}]

## Penyambung VGA

#set_property PACKAGE_PIN G19 [get_ports {vgaRed [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [0]}] #set_property PACKAGE_PIN H19 [get_ports {vgaRed [1]}] #set_property IOSTANDARD [VRRC] }] #set_property PACKAGE_PIN J19 [get_ports {vgaRed [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [2]}] #set_property PAKEJ_PIN N19 [get_ports {vgaRed [3]}] #set_property IOSTAND 3]}] #set_property PAKEJ_PIN N18 [get_ports {vgaBlue [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue [0]}] #set_property PACKAGE_PIN L18 [get_ports {vgaBlue [1]}] #set_prop [1]} vgaBlue [1]}] #set_property PAKEJ_PIN K18 [get_ports {vgaBlue [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue [2]}] #set_property PAKEJ_PIN J18 [get_ports {vgaBlue_3Pop] get_ports {vgaBlue [3]}] #set_property PAKEJ_PIN J17 [get_ports {vgaGreen [0]}] #set_property IOSTANDARD LVCMOS33 [get_p orts {vgaGreen [0]}] #set_property PAKEJ_PIN H17 [get_ports {vgaGreen [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [1]}] #set_property PAKEJ_PIN G17 [get_ports {vgaGreen_propter_propage] 2 LVCMOS33 [get_ports {vgaGreen [2]}] #set_property PAKEJ_PIN D17 [get_ports {vgaGreen [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [3]}] #set_property PACKAGE_PIN P19 [get_ports] Hiburkan_Perserta_Persertaan_Perserta Hsync] #set_property PAKEJ_PIN R19 [get_ports Vsync] #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]

## Antara Muka USB-RS232

#set_property PACKAGE_PIN B18 [get_ports RsRx] #set_property IOSTANDARD LVCMOS33 [get_ports RsRx] #set_property PACKAGE_PIN A18 [get_ports RsTx] #set_property IOSTANDARD LVCMOS33 [get_ports RsTx]

## USB HID (PS / 2)

#set_property PAKEJ_PIN C17 [get_ports PS2Clk] #set_property IOSTANDARD LVCMOS33 [get_ports PS2Clk] #set_property PULLUP true [get_ports PS2Clk] #set_property PAKEJ_PIN B17 [get_ports PS2Data] #set_property_Persedia_Persertaan_Persertaan_Persertaan_Persertaan_Persertaan_Persertaan

## Flash Quad SPI

## Perhatikan bahawa CCLK_0 tidak dapat ditempatkan dalam 7 siri peranti. Anda boleh mengaksesnya menggunakan ## STARTUPE2 primitif. #set_property PACKAGE_PIN D18 [get_ports {QspiDB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [0]}] #set_property PACKAGE_PIN D19 [get_ports {QspiDB [1]}] #set_property IOSTANDARD }] #set_property PACKAGE_PIN G18 [get_ports {QspiDB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [2]}] #set_property PACKAGE_PIN F18 [get_ports {QspiDB [3]}] #set_property IOSTAND 3]}] #set_property PAKEJ_PIN K19 [get_ports QspiCSn] #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]

Langkah 6: Memasang Sensor Gerakan PIR

Memasang Sensor Gerakan PIR
Memasang Sensor Gerakan PIR
Memasang Sensor Gerakan PIR
Memasang Sensor Gerakan PIR

Sensor gerakan PIR mempunyai tiga pin: kuasa, gnd, dan penggera masing-masing (lihat gambar pertama). Sensor gerakan yang disarankan dalam arahan ini dapat disambungkan terus ke papan roti. Tetapi untuk sensor yang kami gunakan, kami harus memotong dan menanggalkan wayar dan kemudian menyolder hujung yang terdedah agar tidak tergelincir. Pada papan roti masukkan wayar jumper lelaki ke wanita secara bersiri dengan pin kuasa dan ground dan kemudian wayar pelompat lelaki ke lelaki secara bersiri dengan pin penggera (lihat gambar kedua).

Langkah 7: Memasang LED di Breadboard

Memasang LED di Papan Roti
Memasang LED di Papan Roti
Memasang LED di Papan Roti
Memasang LED di Papan Roti

Pasang LED ke papan roti. Masukkan kabel pelompat lelaki hitam ke lelaki secara bersiri dengan plumbum pendek LED. Kemudian pasangkan kabel pelompat lelaki hingga lelaki yang berlainan warna dengan siri LED panjang.

Langkah 8: Sambungan Papan Basys

Sambungan Papan Basys
Sambungan Papan Basys

Sambungkan hujung wanita dari sensor gerakan PIR ke sumber voltan 5 volt pada papan asas. Kemudian sambungkan wayar arde LED lelaki ke tanah port sisi kemudian wayar penggera dari sensor gerakan PIR dan kemudian wayar input LED (seperti yang dilihat dalam gambar).

Disyorkan: